Deze plugin is niet getest met de laatste 3 grotere versies van WordPress. Mogelijk wordt het niet meer onderhouden of ondersteund. Ook kunnen er compatibiliteitsproblemen ontstaan wanneer het wordt gebruikt met recentere versies van WordPress.

Add XDC Brush to SyntaxHighlighter Evolved

Beschrijving

This is a WordPress Plugin that adds support for Xilinx Design Constraint (XDC) files to the SyntaxHighlighter Evolved plugin.

Beoordelingen

Er zijn geen beoordelingen voor deze plugin.

Bijdragers & ontwikkelaars

“Add XDC Brush to SyntaxHighlighter Evolved” is open source software. De volgende personen hebben bijgedragen aan deze plugin.

Bijdragers